Coverage report: 82%

Files Functions Classes

coverage.py v7.5.1, created at 2024-05-06 20:51 +0000

File class statements missing excluded coverage
tsfpga/__init__.py (no class) 13 0 0 100%
tsfpga/about.py (no class) 4 0 0 100%
tsfpga/build_project_list.py BuildProjectList 74 6 0 92%
tsfpga/build_project_list.py BuildProjectCreateWrapper 5 0 0 100%
tsfpga/build_project_list.py BuildProjectBuildWrapper 23 2 0 91%
tsfpga/build_project_list.py BuildProjectOpenWrapper 4 0 0 100%
tsfpga/build_project_list.py BuildRunner 2 0 0 100%
tsfpga/build_project_list.py ThreadSafeCollectArtifacts 4 0 0 100%
tsfpga/build_project_list.py BuildReport 14 1 0 93%
tsfpga/build_project_list.py BuildResult 8 0 0 100%
tsfpga/build_project_list.py (no class) 56 3 0 95%
tsfpga/build_step_tcl_hook.py BuildStepTclHook 5 0 0 100%
tsfpga/build_step_tcl_hook.py (no class) 6 0 0 100%
tsfpga/constraint.py Constraint 11 0 0 100%
tsfpga/constraint.py (no class) 8 1 0 88%
tsfpga/create_ghdl_ls_config.py (no class) 8 8 0 0%
tsfpga/create_vhdl_ls_config.py (no class) 7 7 0 0%
tsfpga/examples/__init__.py (no class) 0 0 0 100%
tsfpga/examples/build_fpga.py (no class) 17 17 0 0%
tsfpga/examples/build_fpga_utils.py (no class) 17 17 0 0%
tsfpga/examples/build_module_documentation.py (no class) 17 17 0 0%
tsfpga/examples/conf.py (no class) 7 7 0 0%
tsfpga/examples/example_env.py (no class) 12 12 0 0%
tsfpga/examples/example_pythonpath.py (no class) 7 0 0 100%
tsfpga/examples/simulate.py (no class) 19 19 0 0%
tsfpga/examples/simulation_utils.py SimulationProject 43 43 0 0%
tsfpga/examples/simulation_utils.py (no class) 24 24 0 0%
tsfpga/examples/vivado/__init__.py (no class) 0 0 0 100%
tsfpga/examples/vivado/project.py TsfpgaExampleVivadoProject 2 2 0 0%
tsfpga/examples/vivado/project.py TsfpgaExampleVivadoNetlistProject 3 3 0 0%
tsfpga/examples/vivado/project.py (no class) 8 8 0 0%
tsfpga/git_simulation_subset.py GitSimulationSubset 65 17 0 74%
tsfpga/git_simulation_subset.py (no class) 18 1 0 94%
tsfpga/git_utils.py (no class) 9 0 0 100%
tsfpga/hdl_file.py HdlFile 10 1 0 90%
tsfpga/hdl_file.py HdlFile.Type 0 0 0 100%
tsfpga/hdl_file.py (no class) 18 0 0 100%
tsfpga/ip_core_file.py IpCoreFile 7 0 0 100%
tsfpga/ip_core_file.py (no class) 7 0 0 100%
tsfpga/math_utils.py (no class) 5 0 0 100%
tsfpga/module.py BaseModule 105 1 0 99%
tsfpga/module.py (no class) 60 1 0 98%
tsfpga/module_documentation.py ModuleDocumentation 116 47 0 59%
tsfpga/module_documentation.py (no class) 22 2 0 91%
tsfpga/module_list.py ModuleList 19 0 0 100%
tsfpga/module_list.py (no class) 15 1 0 93%
tsfpga/svn_utils.py (no class) 12 0 0 100%
tsfpga/system_utils.py (no class) 19 0 0 100%
tsfpga/test/__init__.py (no class) 0 0 0 100%
tsfpga/test/conftest.py (no class) 1 0 0 100%
tsfpga/test/lint/__init__.py (no class) 0 0 0 100%
tsfpga/test/lint/copyright_lint.py CopyrightHeader 34 9 0 74%
tsfpga/test/lint/copyright_lint.py (no class) 18 0 0 100%
tsfpga/test/lint/file_format_lint.py (no class) 15 0 0 100%
tsfpga/test/lint/python_lint.py (no class) 17 0 0 100%
tsfpga/test/test_build_project_list.py build_project_list_test.TestBuildProjectList 13 0 0 100%
tsfpga/test/test_build_project_list.py (no class) 19 0 0 100%
tsfpga/test/test_build_step_tcl_hook.py (no class) 4 0 0 100%
tsfpga/test/test_constraint.py (no class) 8 0 0 100%
tsfpga/test/test_git_simulation_subset.py (no class) 6 0 0 100%
tsfpga/test/test_git_utils.py git_commit_with_local_changes_test.TestGitCommitWithLocalChanges 8 0 0 100%
tsfpga/test/test_git_utils.py (no class) 22 0 0 100%
tsfpga/test/test_hdl_file.py (no class) 7 0 0 100%
tsfpga/test/test_ip_core_file.py (no class) 6 0 0 100%
tsfpga/test/test_math_utils.py (no class) 14 0 0 100%
tsfpga/test/test_module.py get_modules_test.GetModulesTest 5 0 0 100%
tsfpga/test/test_module.py (no class) 52 0 0 100%
tsfpga/test/test_module_documentation.py (no class) 13 0 0 100%
tsfpga/test/test_module_list.py module_list_test.ModuleListTest 10 0 0 100%
tsfpga/test/test_module_list.py (no class) 12 0 0 100%
tsfpga/test/test_svn_utils.py (no class) 7 0 0 100%
tsfpga/test/test_system_utils.py (no class) 19 0 0 100%
tsfpga/test/test_utils.py (no class) 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py (no class) 22 0 0 100%
tsfpga/tools/__init__.py (no class) 0 0 0 100%
tsfpga/tools/sphinx_doc.py Release 12 12 0 0%
tsfpga/tools/sphinx_doc.py (no class) 15 15 0 0%
tsfpga/tools/version_number_handler.py VersionNumberHandler 22 22 0 0%
tsfpga/tools/version_number_handler.py (no class) 21 21 0 0%
tsfpga/vhdl_file_documentation.py VhdlFileDocumentation 61 3 0 95%
tsfpga/vhdl_file_documentation.py (no class) 10 0 0 100%
tsfpga/vivado/__init__.py (no class) 0 0 0 100%
tsfpga/vivado/build_result.py BuildResult 22 1 0 95%
tsfpga/vivado/build_result.py (no class) 9 0 0 100%
tsfpga/vivado/build_result_checker.py Limit 3 2 0 33%
tsfpga/vivado/build_result_checker.py LessThan 2 0 0 100%
tsfpga/vivado/build_result_checker.py EqualTo 2 0 0 100%
tsfpga/vivado/build_result_checker.py BuildResultChecker 6 0 0 100%
tsfpga/vivado/build_result_checker.py MaximumLogicLevel 4 0 0 100%
tsfpga/vivado/build_result_checker.py SizeChecker 5 0 0 100%
tsfpga/vivado/build_result_checker.py TotalLuts 0 0 0 100%
tsfpga/vivado/build_result_checker.py LogicLuts 0 0 0 100%
tsfpga/vivado/build_result_checker.py LutRams 0 0 0 100%
tsfpga/vivado/build_result_checker.py Srls 0 0 0 100%
tsfpga/vivado/build_result_checker.py Ffs 0 0 0 100%
tsfpga/vivado/build_result_checker.py Ramb36 0 0 0 100%
tsfpga/vivado/build_result_checker.py Ramb18 0 0 0 100%
tsfpga/vivado/build_result_checker.py Ramb 9 2 0 78%
tsfpga/vivado/build_result_checker.py Uram 0 0 0 100%
tsfpga/vivado/build_result_checker.py DspBlocks 7 1 0 86%
tsfpga/vivado/build_result_checker.py (no class) 50 0 0 100%
tsfpga/vivado/common.py (no class) 12 0 0 100%
tsfpga/vivado/generics.py StringGenericValue 6 0 0 100%
tsfpga/vivado/generics.py BitVectorGenericValue 8 1 0 88%
tsfpga/vivado/generics.py (no class) 10 0 0 100%
tsfpga/vivado/hierarchical_utilization_parser.py HierarchicalUtilizationParser 10 1 0 90%
tsfpga/vivado/hierarchical_utilization_parser.py (no class) 5 0 0 100%
tsfpga/vivado/ip_cores.py VivadoIpCores 38 1 0 97%
tsfpga/vivado/ip_cores.py (no class) 24 3 0 88%
tsfpga/vivado/logic_level_distribution_parser.py LogicLevelDistributionParser 11 1 0 91%
tsfpga/vivado/logic_level_distribution_parser.py (no class) 6 0 0 100%
tsfpga/vivado/project.py VivadoProject 138 16 0 88%
tsfpga/vivado/project.py VivadoNetlistProject 16 4 0 75%
tsfpga/vivado/project.py VivadoIpCoreProject 2 1 0 50%
tsfpga/vivado/project.py (no class) 43 2 0 95%
tsfpga/vivado/simlib.py VivadoSimlib 4 0 0 100%
tsfpga/vivado/simlib.py (no class) 10 1 0 90%
tsfpga/vivado/simlib_commercial.py VivadoSimlibCommercial 21 5 0 76%
tsfpga/vivado/simlib_commercial.py (no class) 13 0 0 100%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon 32 6 0 81%
tsfpga/vivado/simlib_common.py (no class) 35 0 0 100%
tsfpga/vivado/simlib_ghdl.py VivadoSimlibGhdl 74 45 0 39%
tsfpga/vivado/simlib_ghdl.py (no class) 20 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl 181 7 0 96%
tsfpga/vivado/tcl.py (no class) 37 3 0 92%
tsfpga/vivado/test/__init__.py (no class) 0 0 0 100%
tsfpga/vivado/test/conftest.py (no class) 1 0 0 100%
tsfpga/vivado/test/test_build_result.py (no class) 4 0 0 100%
tsfpga/vivado/test/test_build_result_checker.py (no class) 22 0 0 100%
tsfpga/vivado/test/test_common.py (no class) 7 0 0 100%
tsfpga/vivado/test/test_generics.py (no class) 8 0 0 100%
tsfpga/vivado/test/test_hierarchical_utilization_parser.py (no class) 2 0 0 100%
tsfpga/vivado/test/test_ip_cores.py ip_cores_test.IpCoresTest 9 0 0 100%
tsfpga/vivado/test/test_ip_cores.py (no class) 26 0 0 100%
tsfpga/vivado/test/test_logic_level_distribution_parser.py (no class) 4 0 0 100%
tsfpga/vivado/test/test_project.py vivado_project_test.VivadoProjectTest 14 0 0 100%
tsfpga/vivado/test/test_project.py test_default_pre_create_hook_should_pass.CustomVivadoProject 0 0 0 100%
tsfpga/vivado/test/test_project.py test_project_pre_create_hook_returning_false_should_fail_and_not_call_vivado_run.CustomVivadoProject 1 0 0 100%
tsfpga/vivado/test/test_project.py test_default_pre_and_post_build_hooks_should_pass.CustomVivadoProject 0 0 0 100%
tsfpga/vivado/test/test_project.py test_project_pre_build_hook_returning_false_should_fail_and_not_call_vivado_run.CustomVivadoProject 1 0 0 100%
tsfpga/vivado/test/test_project.py test_project_post_build_hook_returning_false_should_fail.CustomVivadoProject 1 0 0 100%
tsfpga/vivado/test/test_project.py test_modules_are_deep_copied_before_pre_create_hook.CustomVivadoProject 2 0 0 100%
tsfpga/vivado/test/test_project.py test_modules_are_deep_copied_before_pre_build_hook.CustomVivadoProject 2 0 0 100%
tsfpga/vivado/test/test_project.py (no class) 47 0 0 100%
tsfpga/vivado/test/test_simlib_commercial.py simlib_test.SimlibCommercialTestFixture 18 0 0 100%
tsfpga/vivado/test/test_simlib_commercial.py (no class) 11 0 0 100%
tsfpga/vivado/test/test_simlib_ghdl.py simlib_test.SimlibGhdlTestFixture 19 0 0 100%
tsfpga/vivado/test/test_simlib_ghdl.py (no class) 10 0 0 100%
tsfpga/vivado/test/test_tcl.py vivado_tcl_test.VivadoTclTest 11 0 0 100%
tsfpga/vivado/test/test_tcl.py (no class) 35 0 0 100%
Total   2541 453 0 82%

No items found using the specified filter.