Coverage report: 81%

Files Functions Classes

coverage.py v7.5.1, created at 2024-05-07 20:51 +0000

File function statements missing excluded coverage
tsfpga/__init__.py (no function) 13 0 0 100%
tsfpga/about.py get_short_slogan 2 0 0 100%
tsfpga/about.py get_readme_rst 13 13 0 0%
tsfpga/about.py (no function) 4 0 0 100%
tsfpga/build_project_list.py BuildProjectList.__init__ 5 1 0 80%
tsfpga/build_project_list.py BuildProjectList.__str__ 5 0 0 100%
tsfpga/build_project_list.py BuildProjectList.get_short_str 4 4 0 0%
tsfpga/build_project_list.py BuildProjectList.create 5 0 0 100%
tsfpga/build_project_list.py BuildProjectList.create_unless_exists 8 0 0 100%
tsfpga/build_project_list.py BuildProjectList.build 9 0 0 100%
tsfpga/build_project_list.py BuildProjectList.get_build_project_output_path 3 0 0 100%
tsfpga/build_project_list.py BuildProjectList.open 4 0 0 100%
tsfpga/build_project_list.py BuildProjectList._run_build_wrappers 20 1 0 95%
tsfpga/build_project_list.py BuildProjectList._iterate_projects 11 0 0 100%
tsfpga/build_project_list.py BuildProjectCreateWrapper.__init__ 3 0 0 100%
tsfpga/build_project_list.py BuildProjectCreateWrapper.run 2 0 0 100%
tsfpga/build_project_list.py BuildProjectBuildWrapper.__init__ 4 0 0 100%
tsfpga/build_project_list.py BuildProjectBuildWrapper.run 10 0 0 100%
tsfpga/build_project_list.py BuildProjectBuildWrapper._print_build_result 4 0 0 100%
tsfpga/build_project_list.py BuildProjectBuildWrapper.build_result_report_length 5 2 0 60%
tsfpga/build_project_list.py BuildProjectOpenWrapper.__init__ 2 0 0 100%
tsfpga/build_project_list.py BuildProjectOpenWrapper.run 2 0 0 100%
tsfpga/build_project_list.py BuildRunner._create_test_mapping_file 0 0 0 100%
tsfpga/build_project_list.py BuildRunner._get_output_path 1 0 0 100%
tsfpga/build_project_list.py BuildRunner._prepare_test_suite_output_path 1 0 0 100%
tsfpga/build_project_list.py ThreadSafeCollectArtifacts.__init__ 2 0 0 100%
tsfpga/build_project_list.py ThreadSafeCollectArtifacts.collect_artifacts 2 0 0 100%
tsfpga/build_project_list.py BuildReport.add_result 3 0 0 100%
tsfpga/build_project_list.py BuildReport.set_report_length 2 0 0 100%
tsfpga/build_project_list.py BuildReport.print_latest_status 9 1 0 89%
tsfpga/build_project_list.py BuildResult._print_output 2 0 0 100%
tsfpga/build_project_list.py BuildResult.set_report_length 1 0 0 100%
tsfpga/build_project_list.py BuildResult.print_status 5 0 0 100%
tsfpga/build_project_list.py (no function) 56 3 0 95%
tsfpga/build_step_tcl_hook.py BuildStepTclHook.__init__ 2 0 0 100%
tsfpga/build_step_tcl_hook.py BuildStepTclHook.step_is_synth 1 0 0 100%
tsfpga/build_step_tcl_hook.py BuildStepTclHook.__str__ 2 0 0 100%
tsfpga/build_step_tcl_hook.py (no function) 6 0 0 100%
tsfpga/constraint.py Constraint.__init__ 6 0 0 100%
tsfpga/constraint.py Constraint.validate_scoped_entity 4 0 0 100%
tsfpga/constraint.py Constraint.__str__ 1 0 0 100%
tsfpga/constraint.py (no function) 8 1 0 88%
tsfpga/create_ghdl_ls_config.py create_ghdl_ls_configuration 20 20 0 0%
tsfpga/create_ghdl_ls_config.py create_ghdl_ls_configuration.get_relative_path 1 1 0 0%
tsfpga/create_ghdl_ls_config.py create_ghdl_ls_configuration.add_compiled_library 2 2 0 0%
tsfpga/create_ghdl_ls_config.py (no function) 8 8 0 0%
tsfpga/create_vhdl_ls_config.py create_configuration 23 23 0 0%
tsfpga/create_vhdl_ls_config.py (no function) 7 7 0 0%
tsfpga/examples/__init__.py (no function) 0 0 0 100%
tsfpga/examples/build_fpga.py main 4 4 0 0%
tsfpga/examples/build_fpga.py collect_artifacts 11 11 0 0%
tsfpga/examples/build_fpga.py (no function) 17 17 0 0%
tsfpga/examples/build_fpga_utils.py arguments 21 21 0 0%
tsfpga/examples/build_fpga_utils.py setup_and_run 29 29 0 0%
tsfpga/examples/build_fpga_utils.py generate_register_artifacts 10 10 0 0%
tsfpga/examples/build_fpga_utils.py (no function) 17 17 0 0%
tsfpga/examples/build_module_documentation.py main 3 3 0 0%
tsfpga/examples/build_module_documentation.py generate_documentation 6 6 0 0%
tsfpga/examples/build_module_documentation.py (no function) 17 17 0 0%
tsfpga/examples/conf.py (no function) 7 7 0 0%
tsfpga/examples/example_env.py get_default_registers 2 2 0 0%
tsfpga/examples/example_env.py get_tsfpga_example_modules 1 1 0 0%
tsfpga/examples/example_env.py get_hdl_modules 4 4 0 0%
tsfpga/examples/example_env.py (no function) 12 12 0 0%
tsfpga/examples/example_pythonpath.py (no function) 7 0 0 100%
tsfpga/examples/simulate.py main 22 22 0 0%
tsfpga/examples/simulate.py find_git_test_filters 7 7 0 0%
tsfpga/examples/simulate.py (no function) 19 19 0 0%
tsfpga/examples/simulation_utils.py get_arguments_cli 14 14 0 0%
tsfpga/examples/simulation_utils.py SimulationProject.__init__ 9 9 0 0%
tsfpga/examples/simulation_utils.py SimulationProject.add_modules 10 10 0 0%
tsfpga/examples/simulation_utils.py SimulationProject.add_vivado_simlib 3 3 0 0%
tsfpga/examples/simulation_utils.py SimulationProject._add_simlib 7 7 0 0%
tsfpga/examples/simulation_utils.py SimulationProject.add_vivado_ip_cores 6 6 0 0%
tsfpga/examples/simulation_utils.py SimulationProject._generate_ip_core_files 8 8 0 0%
tsfpga/examples/simulation_utils.py create_vhdl_ls_configuration 8 8 0 0%
tsfpga/examples/simulation_utils.py (no function) 24 24 0 0%
tsfpga/examples/vivado/__init__.py (no function) 0 0 0 100%
tsfpga/examples/vivado/project.py TsfpgaExampleVivadoProject.pre_create 2 2 0 0%
tsfpga/examples/vivado/project.py TsfpgaExampleVivadoNetlistProject.pre_create 3 3 0 0%
tsfpga/examples/vivado/project.py (no function) 8 8 0 0%
tsfpga/git_simulation_subset.py GitSimulationSubset.__init__ 7 0 0 100%
tsfpga/git_simulation_subset.py GitSimulationSubset.find_subset 11 2 0 82%
tsfpga/git_simulation_subset.py GitSimulationSubset._find_diff_vhd_files 6 0 0 100%
tsfpga/git_simulation_subset.py GitSimulationSubset._iterate_vhd_file_diffs 9 0 0 100%
tsfpga/git_simulation_subset.py GitSimulationSubset._get_preprocessed_file_locations 8 8 0 0%
tsfpga/git_simulation_subset.py GitSimulationSubset._get_library_name_from_path 6 6 0 0%
tsfpga/git_simulation_subset.py GitSimulationSubset._find_testbenches 7 0 0 100%
tsfpga/git_simulation_subset.py GitSimulationSubset._source_file_depends_on_files 7 1 0 86%
tsfpga/git_simulation_subset.py GitSimulationSubset._print_file_list 4 0 0 100%
tsfpga/git_simulation_subset.py (no function) 18 1 0 94%
tsfpga/git_utils.py get_git_commit 4 0 0 100%
tsfpga/git_utils.py get_git_sha 7 0 0 100%
tsfpga/git_utils.py git_local_changes_present 3 0 0 100%
tsfpga/git_utils.py git_commands_are_available 10 2 0 80%
tsfpga/git_utils.py find_git_files 14 0 0 100%
tsfpga/git_utils.py find_git_files.list_paths 4 0 0 100%
tsfpga/git_utils.py (no function) 9 0 0 100%
tsfpga/hdl_file.py HdlFile.__init__ 6 0 0 100%
tsfpga/hdl_file.py HdlFile.path 1 0 0 100%
tsfpga/hdl_file.py HdlFile.type 1 0 0 100%
tsfpga/hdl_file.py HdlFile.__str__ 1 0 0 100%
tsfpga/hdl_file.py HdlFile.__repr__ 1 1 0 0%
tsfpga/hdl_file.py (no function) 18 0 0 100%
tsfpga/ip_core_file.py IpCoreFile.__init__ 2 0 0 100%
tsfpga/ip_core_file.py IpCoreFile.name 4 0 0 100%
tsfpga/ip_core_file.py IpCoreFile.__str__ 1 0 0 100%
tsfpga/ip_core_file.py (no function) 7 0 0 100%
tsfpga/math_utils.py to_binary_string 5 0 0 100%
tsfpga/math_utils.py to_binary_nibble_string 8 0 0 100%
tsfpga/math_utils.py to_hex_string 6 0 0 100%
tsfpga/math_utils.py to_hex_byte_string 14 0 0 100%
tsfpga/math_utils.py _check_unsigned_range 4 0 0 100%
tsfpga/math_utils.py (no function) 5 0 0 100%
tsfpga/module.py BaseModule.__init__ 5 0 0 100%
tsfpga/module.py BaseModule._get_file_list 13 0 0 100%
tsfpga/module.py BaseModule._get_hdl_file_list 10 0 0 100%
tsfpga/module.py BaseModule.registers 7 0 0 100%
tsfpga/module.py BaseModule.registers_hook 0 0 0 100%
tsfpga/module.py BaseModule.create_register_synthesis_files 10 0 0 100%
tsfpga/module.py BaseModule.create_register_simulation_files 7 0 0 100%
tsfpga/module.py BaseModule.synthesis_folders 1 0 0 100%
tsfpga/module.py BaseModule.register_synthesis_folder 1 0 0 100%
tsfpga/module.py BaseModule.sim_folders 1 0 0 100%
tsfpga/module.py BaseModule.register_simulation_folder 1 0 0 100%
tsfpga/module.py BaseModule.test_folders 1 0 0 100%
tsfpga/module.py BaseModule.get_synthesis_files 2 0 0 100%
tsfpga/module.py BaseModule.get_simulation_files 7 0 0 100%
tsfpga/module.py BaseModule.get_documentation_files 4 0 0 100%
tsfpga/module.py BaseModule.get_ip_core_files 3 0 0 100%
tsfpga/module.py BaseModule.get_scoped_constraints 11 0 0 100%
tsfpga/module.py BaseModule.setup_vunit 0 0 0 100%
tsfpga/module.py BaseModule.pre_build 1 1 0 0%
tsfpga/module.py BaseModule.get_build_projects 1 0 0 100%
tsfpga/module.py BaseModule.test_case_name 9 0 0 100%
tsfpga/module.py BaseModule.add_vunit_config 9 0 0 100%
tsfpga/module.py BaseModule.__str__ 1 0 0 100%
tsfpga/module.py get_modules 9 0 0 100%
tsfpga/module.py _iterate_module_folders 4 0 0 100%
tsfpga/module.py _get_module_object 6 0 0 100%
tsfpga/module.py (no function) 60 1 0 98%
tsfpga/module_documentation.py ModuleDocumentation.__init__ 4 0 0 100%
tsfpga/module_documentation.py ModuleDocumentation.get_overview_rst 4 0 0 100%
tsfpga/module_documentation.py ModuleDocumentation.get_register_rst 5 0 0 100%
tsfpga/module_documentation.py ModuleDocumentation.get_submodule_rst 12 2 0 83%
tsfpga/module_documentation.py ModuleDocumentation.get_rst_document 15 1 0 93%
tsfpga/module_documentation.py ModuleDocumentation.create_rst_document 5 5 0 0%
tsfpga/module_documentation.py ModuleDocumentation._get_vhdl_files 6 0 0 100%
tsfpga/module_documentation.py ModuleDocumentation._get_vhdl_files.file_should_be_included 3 0 0 100%
tsfpga/module_documentation.py ModuleDocumentation._get_vhdl_files.sort_key 1 0 0 100%
tsfpga/module_documentation.py ModuleDocumentation._get_vhdl_file_rst 14 1 0 93%
tsfpga/module_documentation.py ModuleDocumentation._get_symbolator_rst 7 4 0 43%
tsfpga/module_documentation.py ModuleDocumentation._get_resource_utilization_rst 40 34 0 15%
tsfpga/module_documentation.py (no function) 22 2 0 91%
tsfpga/module_list.py ModuleList.__init__ 1 0 0 100%
tsfpga/module_list.py ModuleList.append 1 0 0 100%
tsfpga/module_list.py ModuleList.get 4 0 0 100%
tsfpga/module_list.py ModuleList.__iter__ 1 0 0 100%
tsfpga/module_list.py ModuleList.__getitem__ 1 0 0 100%
tsfpga/module_list.py ModuleList.__len__ 1 0 0 100%
tsfpga/module_list.py ModuleList.__add__ 5 0 0 100%
tsfpga/module_list.py ModuleList.__copy__ 3 0 0 100%
tsfpga/module_list.py ModuleList.copy 1 0 0 100%
tsfpga/module_list.py ModuleList.__str__ 1 0 0 100%
tsfpga/module_list.py (no function) 15 1 0 93%
tsfpga/svn_utils.py get_svn_revision_information 5 5 0 0%
tsfpga/svn_utils.py svn_commands_are_available 5 1 0 80%
tsfpga/svn_utils.py check_that_svn_commands_are_available 3 3 0 0%
tsfpga/svn_utils.py get_svn_revision 3 1 0 67%
tsfpga/svn_utils.py svn_local_changes_are_present 4 0 0 100%
tsfpga/svn_utils.py find_svn_files 19 0 0 100%
tsfpga/svn_utils.py (no function) 12 0 0 100%
tsfpga/system_utils.py create_file 5 0 0 100%
tsfpga/system_utils.py read_file 2 0 0 100%
tsfpga/system_utils.py read_last_lines_of_file 14 1 0 93%
tsfpga/system_utils.py delete 8 1 0 88%
tsfpga/system_utils.py create_directory 8 0 0 100%
tsfpga/system_utils.py file_is_in_directory 4 0 0 100%
tsfpga/system_utils.py path_relative_to 1 0 0 100%
tsfpga/system_utils.py run_command 3 0 0 100%
tsfpga/system_utils.py load_python_module 7 1 0 86%
tsfpga/system_utils.py system_is_windows 1 0 0 100%
tsfpga/system_utils.py (no function) 19 0 0 100%
tsfpga/test/__init__.py (no function) 0 0 0 100%
tsfpga/test/conftest.py (no function) 1 0 0 100%
tsfpga/test/lint/__init__.py (no function) 0 0 0 100%
tsfpga/test/lint/copyright_lint.py CopyrightHeader.__init__ 4 0 0 100%
tsfpga/test/lint/copyright_lint.py CopyrightHeader.check_file 4 0 0 100%
tsfpga/test/lint/copyright_lint.py CopyrightHeader.fix_file 3 3 0 0%
tsfpga/test/lint/copyright_lint.py CopyrightHeader._get_expected_copyright_header 8 0 0 100%
tsfpga/test/lint/copyright_lint.py CopyrightHeader._get_comment_character 11 2 0 82%
tsfpga/test/lint/copyright_lint.py CopyrightHeader._is_suitable_for_insertion 1 1 0 0%
tsfpga/test/lint/copyright_lint.py CopyrightHeader._insert_copyright_header 3 3 0 0%
tsfpga/test/lint/copyright_lint.py test_check_file 15 15 0 0%
tsfpga/test/lint/copyright_lint.py test_check_file_with_copyright_text 9 9 0 0%
tsfpga/test/lint/copyright_lint.py test_fix_file_comment_insertion 9 9 0 0%
tsfpga/test/lint/copyright_lint.py test_fix_file_should_not_run_on_dirty_file 6 6 0 0%
tsfpga/test/lint/copyright_lint.py (no function) 18 0 0 100%
tsfpga/test/lint/file_format_lint.py open_file_with_encoding 14 11 0 21%
tsfpga/test/lint/file_format_lint.py check_file_ends_with_newline 8 2 0 75%
tsfpga/test/lint/file_format_lint.py check_file_for_tab_character 7 2 0 71%
tsfpga/test/lint/file_format_lint.py check_file_for_carriage_return 6 2 0 67%
tsfpga/test/lint/file_format_lint.py check_file_for_trailing_whitespace 7 2 0 71%
tsfpga/test/lint/file_format_lint.py check_file_for_line_length 10 2 0 80%
tsfpga/test/lint/file_format_lint.py test_open_file_with_encoding_should_raise_exception_on_bad_file 6 6 0 0%
tsfpga/test/lint/file_format_lint.py test_check_file_for_tab_character_should_fail_on_bad_file 3 3 0 0%
tsfpga/test/lint/file_format_lint.py test_check_file_for_carriage_return_should_fail_on_bad_file 5 5 0 0%
tsfpga/test/lint/file_format_lint.py test_check_file_for_trailing_whitespace 3 3 0 0%
tsfpga/test/lint/file_format_lint.py test_check_file_for_line_length 6 6 0 0%
tsfpga/test/lint/file_format_lint.py (no function) 15 0 0 100%
tsfpga/test/lint/python_lint.py run_pylint 2 0 0 100%
tsfpga/test/lint/python_lint.py run_black 2 0 0 100%
tsfpga/test/lint/python_lint.py run_isort 2 0 0 100%
tsfpga/test/lint/python_lint.py run_flake8_lint 2 0 0 100%
tsfpga/test/lint/python_lint.py invalid_python_code_file 2 2 0 0%
tsfpga/test/lint/python_lint.py test_pylint_should_raise_exception_if_there_are_ugly_files 2 2 0 0%
tsfpga/test/lint/python_lint.py test_flake8_lint_should_raise_exception_if_there_are_ugly_files 2 2 0 0%
tsfpga/test/lint/python_lint.py test_black_formatting_should_raise_exception_if_there_are_ugly_files 2 2 0 0%
tsfpga/test/lint/python_lint.py test_isort_formatting_should_raise_exception_if_there_are_ugly_files 3 3 0 0%
tsfpga/test/lint/python_lint.py (no function) 17 0 0 100%
tsfpga/test/test_build_project_list.py build_project_list_test 5 0 0 100%
tsfpga/test/test_build_project_list.py build_project_list_test.TestBuildProjectList._get_mocks 8 0 0 100%
tsfpga/test/test_build_project_list.py build_project_list_test.TestBuildProjectList.__init__ 5 0 0 100%
tsfpga/test/test_build_project_list.py test_can_list_without_error 3 0 0 100%
tsfpga/test/test_build_project_list.py test_project_filtering 17 0 0 100%
tsfpga/test/test_build_project_list.py test_create 6 0 0 100%
tsfpga/test/test_build_project_list.py test_create_unless_exists 10 0 0 100%
tsfpga/test/test_build_project_list.py test_build 3 0 0 100%
tsfpga/test/test_build_project_list.py test_build_fail_should_return_false 4 0 0 100%
tsfpga/test/test_build_project_list.py test_build_with_output_path 3 0 0 100%
tsfpga/test/test_build_project_list.py test_build_with_collect_artifacts 4 0 0 100%
tsfpga/test/test_build_project_list.py test_build_with_collect_artifacts_and_output_path 4 0 0 100%
tsfpga/test/test_build_project_list.py test_build_with_collect_artifacts_return_false_should_fail_build 4 0 0 100%
tsfpga/test/test_build_project_list.py test_open 6 0 0 100%
tsfpga/test/test_build_project_list.py (no function) 19 0 0 100%
tsfpga/test/test_build_step_tcl_hook.py test_step_is_synth 2 0 0 100%
tsfpga/test/test_build_step_tcl_hook.py test_can_cast_to_string_without_error 1 0 0 100%
tsfpga/test/test_build_step_tcl_hook.py (no function) 4 0 0 100%
tsfpga/test/test_constraint.py test_constraint 6 0 0 100%
tsfpga/test/test_constraint.py test_scoped_constraint 4 0 0 100%
tsfpga/test/test_constraint.py test_matching_entity_not_existing_should_raise_exception 4 0 0 100%
tsfpga/test/test_constraint.py test_can_cast_to_string_without_error 1 0 0 100%
tsfpga/test/test_constraint.py (no function) 8 0 0 100%
tsfpga/test/test_git_simulation_subset.py test_supplying_only_one_of_vunit_preprocessed_path_or_modules_should_raise_exception 9 0 0 100%
tsfpga/test/test_git_simulation_subset.py test_find_subset 29 0 0 100%
tsfpga/test/test_git_simulation_subset.py test_find_subset.diff_commit 7 1 0 86%
tsfpga/test/test_git_simulation_subset.py test_find_subset.get_implementation_subset 6 2 0 67%
tsfpga/test/test_git_simulation_subset.py (no function) 6 0 0 100%
tsfpga/test/test_git_utils.py test_this_file_is_listed_by_find_git_files 6 0 0 100%
tsfpga/test/test_git_utils.py test_this_file_is_not_listed_by_find_git_files_with_bad_argument 4 0 0 100%
tsfpga/test/test_git_utils.py test_this_file_is_not_listed_by_find_git_files_with_file_endings_avoid 4 0 0 100%
tsfpga/test/test_git_utils.py test_this_file_is_not_listed_by_find_git_files_with_exclude_directory 8 0 0 100%
tsfpga/test/test_git_utils.py test_this_file_is_listed_by_find_git_files_with_bad_exclude_directory 2 0 0 100%
tsfpga/test/test_git_utils.py test_git_commands_are_available_should_pass 2 0 0 100%
tsfpga/test/test_git_utils.py test_git_commands_are_available_with_invalid_directory_should_fail 4 1 0 75%
tsfpga/test/test_git_utils.py git_commit_with_local_changes_test 3 0 0 100%
tsfpga/test/test_git_utils.py git_commit_with_local_changes_test.TestGitCommitWithLocalChanges.__init__ 8 0 0 100%
tsfpga/test/test_git_utils.py test_get_git_commit_with_local_changes 1 0 0 100%
tsfpga/test/test_git_utils.py test_get_git_commit_with_env_variable_and_local_changes 8 2 0 75%
tsfpga/test/test_git_utils.py test_get_git_commit_without_local_changes 2 0 0 100%
tsfpga/test/test_git_utils.py test_get_git_commit_from_child_directory 2 0 0 100%
tsfpga/test/test_git_utils.py (no function) 22 0 0 100%
tsfpga/test/test_hdl_file.py test_file_endings 1 0 0 100%
tsfpga/test/test_hdl_file.py test_file_type 6 0 0 100%
tsfpga/test/test_hdl_file.py test_unknown_file_ending_raises_exception 3 0 0 100%
tsfpga/test/test_hdl_file.py test_can_cast_to_string_without_error 1 0 0 100%
tsfpga/test/test_hdl_file.py (no function) 7 0 0 100%
tsfpga/test/test_ip_core_file.py test_can_cast_to_string_without_error 2 0 0 100%
tsfpga/test/test_ip_core_file.py test_name 1 0 0 100%
tsfpga/test/test_ip_core_file.py test_name_with_spaces_should_raise_exception 4 0 0 100%
tsfpga/test/test_ip_core_file.py (no function) 6 0 0 100%
tsfpga/test/test_math_utils.py test_to_binary_string 5 0 0 100%
tsfpga/test/test_math_utils.py test_to_binary_string_width_out_of_range_should_raise_exception 6 0 0 100%
tsfpga/test/test_math_utils.py test_to_binary_string_value_out_of_range_should_raise_exception 6 0 0 100%
tsfpga/test/test_math_utils.py test_to_binary_nibble_string 12 0 0 100%
tsfpga/test/test_math_utils.py test_to_binary_nibble_string_width_out_of_range_should_raise_exception 6 0 0 100%
tsfpga/test/test_math_utils.py test_to_binary_nibble_string_value_out_of_range_should_raise_exception 6 0 0 100%
tsfpga/test/test_math_utils.py test_to_hex_string 7 0 0 100%
tsfpga/test/test_math_utils.py test_to_hex_string_width_out_of_range_should_raise_exception 6 0 0 100%
tsfpga/test/test_math_utils.py test_to_hex_string_value_out_of_range_should_raise_exception 6 0 0 100%
tsfpga/test/test_math_utils.py test_to_hex_byte_string 13 0 0 100%
tsfpga/test/test_math_utils.py test_to_hex_byte_string_width_out_of_range_should_raise_exception 6 0 0 100%
tsfpga/test/test_math_utils.py test_to_hex_byte_string_value_out_of_range_should_raise_exception 6 0 0 100%
tsfpga/test/test_math_utils.py (no function) 14 0 0 100%
tsfpga/test/test_module.py test_add_vunit_config_name 15 0 0 100%
tsfpga/test/test_module.py test_add_vunit_config_random_seed 21 0 0 100%
tsfpga/test/test_module.py test_file_list_filtering 18 0 0 100%
tsfpga/test/test_module.py test_get_synthesis_files_calls_get_simulation_files_with_correct_arguments 4 0 0 100%
tsfpga/test/test_module.py test_get_vhdl_files 7 0 0 100%
tsfpga/test/test_module.py test_get_verilog_files 7 0 0 100%
tsfpga/test/test_module.py test_get_systemverilog_files 7 0 0 100%
tsfpga/test/test_module.py test_get_documentation_files 9 0 0 100%
tsfpga/test/test_module.py test_scoped_constraints 7 0 0 100%
tsfpga/test/test_module.py test_scoped_constraint_entity_not_existing_should_raise_error 6 0 0 100%
tsfpga/test/test_module.py test_can_cast_to_string_without_error 1 0 0 100%
tsfpga/test/test_module.py test_test_case_name 2 0 0 100%
tsfpga/test/test_module.py test_getting_registers_calls_registers_hook 13 0 0 100%
tsfpga/test/test_module.py test_creating_synthesis_files_does_not_create_simulation_files 10 0 0 100%
tsfpga/test/test_module.py test_old_register_package_should_be_deleted 5 0 0 100%
tsfpga/test/test_module.py get_modules_test 3 0 0 100%
tsfpga/test/test_module.py get_modules_test.GetModulesTest.__init__ 5 0 0 100%
tsfpga/test/test_module.py test_name_filtering_include 2 0 0 100%
tsfpga/test/test_module.py test_name_filtering_avoid 2 0 0 100%
tsfpga/test/test_module.py test_name_filtering_include_and_avoid 2 0 0 100%
tsfpga/test/test_module.py test_library_name_does_not_have_lib_suffix 2 0 0 100%
tsfpga/test/test_module.py test_library_name_has_lib_suffix 2 0 0 100%
tsfpga/test/test_module.py test_stray_file_can_exist_in_modules_folder_without_error 3 0 0 100%
tsfpga/test/test_module.py test_local_override_of_module_type 13 1 0 92%
tsfpga/test/test_module.py test_register_toml_file_parsed_only_once_when_getting_synthesis_files 5 0 0 100%
tsfpga/test/test_module.py test_register_toml_file_parsed_only_once_when_getting_simulation_files 5 0 0 100%
tsfpga/test/test_module.py test_register_toml_file_parsed_only_once_when_getting_mixed_files 5 0 0 100%
tsfpga/test/test_module.py (no function) 52 0 0 100%
tsfpga/test/test_module_documentation.py module_documentation 5 0 0 100%
tsfpga/test/test_module_documentation.py test_documentation_header_with_no_overview_and_no_registers 4 0 0 100%
tsfpga/test/test_module_documentation.py test_documentation_header_with_overview_and_registers 10 0 0 100%
tsfpga/test/test_module_documentation.py test_submodule_documentation_with_file_exclude 6 0 0 100%
tsfpga/test/test_module_documentation.py test_submodule_documentation_with_folder_exclude 6 0 0 100%
tsfpga/test/test_module_documentation.py test_include_sim_but_not_test_folder 10 0 0 100%
tsfpga/test/test_module_documentation.py (no function) 13 0 0 100%
tsfpga/test/test_module_list.py test_module_list_indexing 7 0 0 100%
tsfpga/test/test_module_list.py module_list_test 3 0 0 100%
tsfpga/test/test_module_list.py module_list_test.ModuleListTest.__init__ 10 0 0 100%
tsfpga/test/test_module_list.py test_get 5 0 0 100%
tsfpga/test/test_module_list.py test_iteration 3 0 0 100%
tsfpga/test/test_module_list.py test_can_cast_to_string_without_error 1 0 0 100%
tsfpga/test/test_module_list.py test_concatenation 11 0 0 100%
tsfpga/test/test_module_list.py test_concatenation_with_unknown_object_should_raise_interrupt 3 0 0 100%
tsfpga/test/test_module_list.py test_copy 3 0 0 100%
tsfpga/test/test_module_list.py (no function) 12 0 0 100%
tsfpga/test/test_svn_utils.py test_svn_local_changes_are_present 6 0 0 100%
tsfpga/test/test_svn_utils.py test_find_svn_files 12 0 0 100%
tsfpga/test/test_svn_utils.py (no function) 7 0 0 100%
tsfpga/test/test_system_utils.py test_delete_files_and_folders 16 0 0 100%
tsfpga/test/test_system_utils.py test_create_directory_plain 5 0 0 100%
tsfpga/test/test_system_utils.py test_create_directory_that_exists_without_empty 4 0 0 100%
tsfpga/test/test_system_utils.py test_create_directory_that_exists_with_empty 5 0 0 100%
tsfpga/test/test_system_utils.py test_create_directory_without_empty_when_path_is_a_file 5 0 0 100%
tsfpga/test/test_system_utils.py test_file_is_in_directory 5 0 0 100%
tsfpga/test/test_system_utils.py test_path_relative_to 6 0 0 100%
tsfpga/test/test_system_utils.py test_read_last_lines_of_file_with_short_file 3 0 0 100%
tsfpga/test/test_system_utils.py test_read_last_lines_of_file_with_long_file 4 0 0 100%
tsfpga/test/test_system_utils.py test_read_last_lines_of_file_with_trailing_newlines 3 0 0 100%
tsfpga/test/test_system_utils.py test_read_last_lines_of_file_with_empty_file 6 0 0 100%
tsfpga/test/test_system_utils.py test_run_command_called_with_nonexisting_binary_should_raise_exception 3 0 0 100%
tsfpga/test/test_system_utils.py test_run_command_with_non_zero_return_code_should_raise_exception 3 0 0 100%
tsfpga/test/test_system_utils.py test_run_command_called_with_non_list_should_raise_exception 6 0 0 100%
tsfpga/test/test_system_utils.py test_run_command_should_capture_output_as_strings 10 0 0 100%
tsfpga/test/test_system_utils.py (no function) 19 0 0 100%
tsfpga/test/test_utils.py file_contains_string 1 0 0 100%
tsfpga/test/test_utils.py file_equals 1 1 0 0%
tsfpga/test/test_utils.py (no function) 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_documentation_header 4 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_only_copyright_header_should_return_no_documentation_header 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py run_get_symbolator_component_test 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_simple 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_complex 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_with_attributes 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_no_generics 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_last_port_no_newline 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_last_port_parenthesis_on_same_line 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_with_comments 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_with_separator_comments 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_with_complex_array_width 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py run_get_symbolator_component_end_test 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py run_get_symbolator_component_end_test_with_whitespace 3 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_end_only_keyword 2 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_no_end_entity_with_whitespace 2 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_end_name 2 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_end_name_with_whitespace 2 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_end_entity_name 2 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py test_get_symbolator_component_end_entity_name_with_whitespace 2 0 0 100%
tsfpga/test/test_vhdl_file_documentation.py (no function) 22 0 0 100%
tsfpga/tools/__init__.py (no function) 0 0 0 100%
tsfpga/tools/sphinx_doc.py generate_release_notes 13 13 0 0%
tsfpga/tools/sphinx_doc.py _get_release_notes_files 15 15 0 0%
tsfpga/tools/sphinx_doc.py _get_release_notes_files.sort_key 1 1 0 0%
tsfpga/tools/sphinx_doc.py Release.__init__ 9 9 0 0%
tsfpga/tools/sphinx_doc.py Release.get_git_date_from_tag 3 3 0 0%
tsfpga/tools/sphinx_doc.py build_sphinx 7 7 0 0%
tsfpga/tools/sphinx_doc.py (no function) 15 15 0 0%
tsfpga/tools/version_number_handler.py VersionNumberHandler.__init__ 2 2 0 0%
tsfpga/tools/version_number_handler.py VersionNumberHandler.update 3 3 0 0%
tsfpga/tools/version_number_handler.py VersionNumberHandler.bump_to_prelease 5 5 0 0%
tsfpga/tools/version_number_handler.py VersionNumberHandler._verify_that_newer_version_number_is_greater_than_older 2 2 0 0%
tsfpga/tools/version_number_handler.py VersionNumberHandler._get_current_version 6 6 0 0%
tsfpga/tools/version_number_handler.py VersionNumberHandler._set_new_version 4 4 0 0%
tsfpga/tools/version_number_handler.py verify_new_version_number 12 12 0 0%
tsfpga/tools/version_number_handler.py commit_and_tag_release 4 4 0 0%
tsfpga/tools/version_number_handler.py make_commit 3 3 0 0%
tsfpga/tools/version_number_handler.py (no function) 21 21 0 0%
tsfpga/vhdl_file_documentation.py VhdlFileDocumentation.__init__ 1 0 0 100%
tsfpga/vhdl_file_documentation.py VhdlFileDocumentation.get_header_rst 12 0 0 100%
tsfpga/vhdl_file_documentation.py VhdlFileDocumentation.get_symbolator_component 38 3 0 92%
tsfpga/vhdl_file_documentation.py VhdlFileDocumentation.get_symbolator_component.replace_comment 4 0 0 100%
tsfpga/vhdl_file_documentation.py VhdlFileDocumentation.get_symbolator_component.clean_up_declarations 6 0 0 100%
tsfpga/vhdl_file_documentation.py (no function) 10 0 0 100%
tsfpga/vivado/__init__.py (no function) 0 0 0 100%
tsfpga/vivado/build_result.py BuildResult.__init__ 5 0 0 100%
tsfpga/vivado/build_result.py BuildResult.size_summary 10 1 0 90%
tsfpga/vivado/build_result.py BuildResult.report 4 0 0 100%
tsfpga/vivado/build_result.py BuildResult.maximum_logic_level 3 0 0 100%
tsfpga/vivado/build_result.py (no function) 9 0 0 100%
tsfpga/vivado/build_result_checker.py Limit.__init__ 1 0 0 100%
tsfpga/vivado/build_result_checker.py Limit.check 1 1 0 0%
tsfpga/vivado/build_result_checker.py Limit.__str__ 1 1 0 0%
tsfpga/vivado/build_result_checker.py LessThan.check 1 0 0 100%
tsfpga/vivado/build_result_checker.py LessThan.__str__ 1 0 0 100%
tsfpga/vivado/build_result_checker.py EqualTo.check 1 0 0 100%
tsfpga/vivado/build_result_checker.py EqualTo.__str__ 1 0 0 100%
tsfpga/vivado/build_result_checker.py BuildResultChecker.__init__ 1 0 0 100%
tsfpga/vivado/build_result_checker.py BuildResultChecker.check 0 0 0 100%
tsfpga/vivado/build_result_checker.py BuildResultChecker._check_result_value 5 0 0 100%
tsfpga/vivado/build_result_checker.py MaximumLogicLevel.check 4 0 0 100%
tsfpga/vivado/build_result_checker.py SizeChecker.check 5 0 0 100%
tsfpga/vivado/build_result_checker.py Ramb.check 4 0 0 100%
tsfpga/vivado/build_result_checker.py Ramb._get_result_value 5 2 0 60%
tsfpga/vivado/build_result_checker.py DspBlocks.check 7 1 0 86%
tsfpga/vivado/build_result_checker.py (no function) 50 0 0 100%
tsfpga/vivado/common.py run_vivado_tcl 9 0 0 100%
tsfpga/vivado/common.py run_vivado_gui 9 9 0 0%
tsfpga/vivado/common.py get_vivado_path 6 4 0 33%
tsfpga/vivado/common.py get_vivado_version 3 0 0 100%
tsfpga/vivado/common.py get_git_sha_slv 6 0 0 100%
tsfpga/vivado/common.py get_git_sha_slv.hex_to_binary_string 3 0 0 100%
tsfpga/vivado/common.py to_tcl_path 1 0 0 100%
tsfpga/vivado/common.py (no function) 12 0 0 100%
tsfpga/vivado/generics.py StringGenericValue.__init__ 5 0 0 100%
tsfpga/vivado/generics.py StringGenericValue.__str__ 1 0 0 100%
tsfpga/vivado/generics.py BitVectorGenericValue.__init__ 6 0 0 100%
tsfpga/vivado/generics.py BitVectorGenericValue.length 1 0 0 100%
tsfpga/vivado/generics.py BitVectorGenericValue.__str__ 1 1 0 0%
tsfpga/vivado/generics.py get_vivado_tcl_generic_value 14 0 0 100%
tsfpga/vivado/generics.py (no function) 10 0 0 100%
tsfpga/vivado/hierarchical_utilization_parser.py HierarchicalUtilizationParser.get_size 10 1 0 90%
tsfpga/vivado/hierarchical_utilization_parser.py (no function) 5 0 0 100%
tsfpga/vivado/ip_cores.py VivadoIpCores.__init__ 5 0 0 100%
tsfpga/vivado/ip_cores.py VivadoIpCores.compile_order_file 1 0 0 100%
tsfpga/vivado/ip_cores.py VivadoIpCores.vivado_project_file 1 1 0 0%
tsfpga/vivado/ip_cores.py VivadoIpCores.create_vivado_project 5 0 0 100%
tsfpga/vivado/ip_cores.py VivadoIpCores.create_vivado_project_if_needed 4 0 0 100%
tsfpga/vivado/ip_cores.py VivadoIpCores._setup 5 0 0 100%
tsfpga/vivado/ip_cores.py VivadoIpCores._calculate_hash 12 0 0 100%
tsfpga/vivado/ip_cores.py VivadoIpCores._calculate_hash.sort_by_file_name 1 0 0 100%
tsfpga/vivado/ip_cores.py VivadoIpCores._save_hash 1 0 0 100%
tsfpga/vivado/ip_cores.py VivadoIpCores._should_create 3 0 0 100%
tsfpga/vivado/ip_cores.py (no function) 24 3 0 88%
tsfpga/vivado/logic_level_distribution_parser.py LogicLevelDistributionParser.get_table 5 1 0 80%
tsfpga/vivado/logic_level_distribution_parser.py LogicLevelDistributionParser.get_maximum_logic_level 6 0 0 100%
tsfpga/vivado/logic_level_distribution_parser.py (no function) 6 0 0 100%
tsfpga/vivado/project.py VivadoProject.__init__ 27 0 0 100%
tsfpga/vivado/project.py VivadoProject.project_file 1 0 0 100%
tsfpga/vivado/project.py VivadoProject._setup_tcl_sources 4 1 0 75%
tsfpga/vivado/project.py VivadoProject._setup_build_step_hooks 8 2 0 75%
tsfpga/vivado/project.py VivadoProject._create_tcl 7 0 0 100%
tsfpga/vivado/project.py VivadoProject.create 11 0 0 100%
tsfpga/vivado/project.py VivadoProject.pre_create 1 0 0 100%
tsfpga/vivado/project.py VivadoProject._build_tcl 7 0 0 100%
tsfpga/vivado/project.py VivadoProject.pre_build 1 0 0 100%
tsfpga/vivado/project.py VivadoProject.post_build 1 0 0 100%
tsfpga/vivado/project.py VivadoProject.build 52 11 0 79%
tsfpga/vivado/project.py VivadoProject.open 1 1 0 0%
tsfpga/vivado/project.py VivadoProject._get_size 2 0 0 100%
tsfpga/vivado/project.py VivadoProject._get_logic_level_distribution 2 0 0 100%
tsfpga/vivado/project.py VivadoProject.__str__ 12 1 0 92%
tsfpga/vivado/project.py VivadoProject._dict_to_string 1 0 0 100%
tsfpga/vivado/project.py VivadoNetlistProject.__init__ 5 0 0 100%
tsfpga/vivado/project.py VivadoNetlistProject.build 3 0 0 100%
tsfpga/vivado/project.py VivadoNetlistProject._check_size 8 4 0 50%
tsfpga/vivado/project.py VivadoIpCoreProject.__init__ 1 0 0 100%
tsfpga/vivado/project.py VivadoIpCoreProject.build 1 1 0 0%
tsfpga/vivado/project.py copy_and_combine_dicts 9 0 0 100%
tsfpga/vivado/project.py (no function) 43 2 0 95%
tsfpga/vivado/simlib.py VivadoSimlib.init 4 0 0 100%
tsfpga/vivado/simlib.py (no function) 10 1 0 90%
tsfpga/vivado/simlib_commercial.py VivadoSimlibCommercial.__init__ 4 0 0 100%
tsfpga/vivado/simlib_commercial.py VivadoSimlibCommercial._get_simulator_name 5 0 0 100%
tsfpga/vivado/simlib_commercial.py VivadoSimlibCommercial._compile 6 1 0 83%
tsfpga/vivado/simlib_commercial.py VivadoSimlibCommercial._get_simulator_tag 2 0 0 100%
tsfpga/vivado/simlib_commercial.py VivadoSimlibCommercial._add_to_vunit_project 4 4 0 0%
tsfpga/vivado/simlib_commercial.py (no function) 13 0 0 100%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon.__init__ 3 0 0 100%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon.compile_if_needed 4 0 0 100%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon.compile_is_needed 3 0 0 100%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon.compile 4 0 0 100%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon._compile 0 0 0 100%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon.add_to_vunit_project 1 1 0 0%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon._add_to_vunit_project 0 0 0 100%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon.artifact_name 1 0 0 100%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon.to_archive 3 3 0 0%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon.from_archive 2 2 0 0%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon._get_version_tag 6 0 0 100%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon._get_operating_system_tag 1 0 0 100%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon._get_vivado_version_tag 2 0 0 100%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon._get_simulator_tag 0 0 0 100%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon._format_version 1 0 0 100%
tsfpga/vivado/simlib_common.py VivadoSimlibCommon._done_token 1 0 0 100%
tsfpga/vivado/simlib_common.py (no function) 35 0 0 100%
tsfpga/vivado/simlib_ghdl.py VivadoSimlibGhdl.__init__ 3 0 0 100%
tsfpga/vivado/simlib_ghdl.py VivadoSimlibGhdl._compile 4 4 0 0%
tsfpga/vivado/simlib_ghdl.py VivadoSimlibGhdl._compile_unisim 12 12 0 0%
tsfpga/vivado/simlib_ghdl.py VivadoSimlibGhdl._compile_secureip 5 5 0 0%
tsfpga/vivado/simlib_ghdl.py VivadoSimlibGhdl._compile_unimacro 7 7 0 0%
tsfpga/vivado/simlib_ghdl.py VivadoSimlibGhdl._compile_unifast 3 3 0 0%
tsfpga/vivado/simlib_ghdl.py VivadoSimlibGhdl._get_compile_order 7 7 0 0%
tsfpga/vivado/simlib_ghdl.py VivadoSimlibGhdl._compile_ghdl 14 0 0 100%
tsfpga/vivado/simlib_ghdl.py VivadoSimlibGhdl._compile_ghdl.print_compiling 1 0 0 100%
tsfpga/vivado/simlib_ghdl.py VivadoSimlibGhdl._compile_ghdl.execute_ghdl 1 0 0 100%
tsfpga/vivado/simlib_ghdl.py VivadoSimlibGhdl._execute_ghdl 2 2 0 0%
tsfpga/vivado/simlib_ghdl.py VivadoSimlibGhdl._get_simulator_tag 11 1 0 91%
tsfpga/vivado/simlib_ghdl.py VivadoSimlibGhdl._add_to_vunit_project 4 4 0 0%
tsfpga/vivado/simlib_ghdl.py (no function) 20 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl.__init__ 1 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl.create 32 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl._add_module_source_files 23 5 0 78%
tsfpga/vivado/tcl.py VivadoTcl._to_file_list 4 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl._add_tcl_sources 6 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl._add_ip_cores 10 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl._add_build_step_hooks 19 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl._add_project_settings 7 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl._tcl_for_each_run 5 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl._add_generics 8 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl._iterate_constraints 4 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl._add_constraints 13 2 0 85%
tsfpga/vivado/tcl.py VivadoTcl.build 24 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl._synthesis 5 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl._run 4 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl._run_multiple 13 0 0 100%
tsfpga/vivado/tcl.py VivadoTcl._write_hw_platform 3 0 0 100%
tsfpga/vivado/tcl.py (no function) 37 3 0 92%
tsfpga/vivado/test/__init__.py (no function) 0 0 0 100%
tsfpga/vivado/test/conftest.py (no function) 1 0 0 100%
tsfpga/vivado/test/test_build_result.py test_size_summary 7 0 0 100%
tsfpga/vivado/test/test_build_result.py test_report 8 0 0 100%
tsfpga/vivado/test/test_build_result.py test_maximum_logic_level_should_be_none_if_no_logic_level_distribution_is_set 5 0 0 100%
tsfpga/vivado/test/test_build_result.py (no function) 4 0 0 100%
tsfpga/vivado/test/test_build_result_checker.py test_size_checker_less_than_pass 4 0 0 100%
tsfpga/vivado/test/test_build_result_checker.py test_size_checker_less_than_fail 4 0 0 100%
tsfpga/vivado/test/test_build_result_checker.py test_size_checker_equal_to_pass 5 0 0 100%
tsfpga/vivado/test/test_build_result_checker.py test_size_checker_equal_to_fail 4 0 0 100%
tsfpga/vivado/test/test_build_result_checker.py test_size_checker_dsp_blocks_has_two_names 7 0 0 100%
tsfpga/vivado/test/test_build_result_checker.py test_size_checker_aggregated_ramb 8 0 0 100%
tsfpga/vivado/test/test_build_result_checker.py test_size_checker_raises_exception_if_synthesis_size_is_not_set 5 0 0 100%
tsfpga/vivado/test/test_build_result_checker.py test_size_checker_raises_exception_if_synthesis_size_does_not_have_requested_resource 5 0 0 100%
tsfpga/vivado/test/test_build_result_checker.py test_logic_level_checker_equal_to_pass 4 0 0 100%
tsfpga/vivado/test/test_build_result_checker.py test_logic_level_checker_less_than_fail 4 0 0 100%
tsfpga/vivado/test/test_build_result_checker.py test_logic_level_checker_raises_exception_if_logic_level_is_not_set 4 0 0 100%
tsfpga/vivado/test/test_build_result_checker.py (no function) 22 0 0 100%
tsfpga/vivado/test/test_common.py test_run_vivado_tcl 15 0 0 100%
tsfpga/vivado/test/test_common.py test_get_vivado_version 1 0 0 100%
tsfpga/vivado/test/test_common.py test_get_git_sha_slv 6 0 0 100%
tsfpga/vivado/test/test_common.py (no function) 7 0 0 100%
tsfpga/vivado/test/test_generics.py test_boolean_generics 2 0 0 100%
tsfpga/vivado/test/test_generics.py test_integer_generics 3 0 0 100%
tsfpga/vivado/test/test_generics.py test_float_generics 3 0 0 100%
tsfpga/vivado/test/test_generics.py test_bit_vector_generics 7 0 0 100%
tsfpga/vivado/test/test_generics.py test_string_generics 7 0 0 100%
tsfpga/vivado/test/test_generics.py test_unsupported_generic_type 9 0 0 100%
tsfpga/vivado/test/test_generics.py (no function) 8 0 0 100%
tsfpga/vivado/test/test_hierarchical_utilization_parser.py test_utilization_parser 7 0 0 100%
tsfpga/vivado/test/test_hierarchical_utilization_parser.py (no function) 2 0 0 100%
tsfpga/vivado/test/test_ip_cores.py test_get_ip_core_files_is_called_with_the_correct_arguments 4 0 0 100%
tsfpga/vivado/test/test_ip_cores.py test_system_call_to_vivado_failing_should_raise_exception 6 0 0 100%
tsfpga/vivado/test/test_ip_cores.py ip_cores_test 4 0 0 100%
tsfpga/vivado/test/test_ip_cores.py ip_cores_test.IpCoresTest.__init__ 8 0 0 100%
tsfpga/vivado/test/test_ip_cores.py ip_cores_test.IpCoresTest.create_compile_order_file 1 0 0 100%
tsfpga/vivado/test/test_ip_cores.py test_should_not_recreate 2 0 0 100%
tsfpga/vivado/test/test_ip_cores.py test_should_recreate_if_compile_order_file_is_missing 3 0 0 100%
tsfpga/vivado/test/test_ip_cores.py test_should_recreate_if_hash_file_is_missing 3 0 0 100%
tsfpga/vivado/test/test_ip_cores.py test_should_not_recreate_if_nothing_is_changed 4 0 0 100%
tsfpga/vivado/test/test_ip_cores.py test_should_recreate_if_ip_core_file_is_added 5 0 0 100%
tsfpga/vivado/test/test_ip_cores.py test_should_recreate_if_ip_core_file_is_removed 5 0 0 100%
tsfpga/vivado/test/test_ip_cores.py test_should_recreate_if_ip_core_file_is_changed 5 0 0 100%
tsfpga/vivado/test/test_ip_cores.py test_ip_core_variables 17 0 0 100%
tsfpga/vivado/test/test_ip_cores.py (no function) 26 0 0 100%
tsfpga/vivado/test/test_logic_level_distribution_parser.py test_get_table 3 0 0 100%
tsfpga/vivado/test/test_logic_level_distribution_parser.py test_get_maximum_logic_level 6 0 0 100%
tsfpga/vivado/test/test_logic_level_distribution_parser.py test_get_maximum_logic_level_no_paths 2 0 0 100%
tsfpga/vivado/test/test_logic_level_distribution_parser.py (no function) 4 0 0 100%
tsfpga/vivado/test/test_project.py test_casting_to_string 6 0 0 100%
tsfpga/vivado/test/test_project.py test_modules_list_should_be_copied 4 0 0 100%
tsfpga/vivado/test/test_project.py test_static_generics_dictionary_should_be_copied 4 0 0 100%
tsfpga/vivado/test/test_project.py test_constraints_list_should_be_copied 4 0 0 100%
tsfpga/vivado/test/test_project.py test_bad_constraint_type_should_raise_error 4 0 0 100%
tsfpga/vivado/test/test_project.py test_bad_tcl_sources_type_should_raise_error 4 0 0 100%
tsfpga/vivado/test/test_project.py test_bad_build_step_hooks_type_should_raise_error 4 0 0 100%
tsfpga/vivado/test/test_project.py test_create_should_raise_exception_if_project_path_already_exists 5 0 0 100%
tsfpga/vivado/test/test_project.py test_build_should_raise_exception_if_project_does_not_exists 5 0 0 100%
tsfpga/vivado/test/test_project.py test_build_with_impl_run_should_raise_exception_if_no_output_path_is_given 4 0 0 100%
tsfpga/vivado/test/test_project.py test_top_name 2 0 0 100%
tsfpga/vivado/test/test_project.py test_project_file_name_is_same_as_project_name 2 0 0 100%
tsfpga/vivado/test/test_project.py test_project_create 3 0 0 100%
tsfpga/vivado/test/test_project.py test_project_create_should_raise_exception_if_project_path_already_exists 4 0 0 100%
tsfpga/vivado/test/test_project.py test_copy_and_combine_dict_with_both_arguments_none 1 0 0 100%
tsfpga/vivado/test/test_project.py test_copy_and_combine_dict_with_first_argument_valid 6 0 0 100%
tsfpga/vivado/test/test_project.py test_copy_and_combine_dict_with_second_argument_valid 6 0 0 100%
tsfpga/vivado/test/test_project.py test_copy_and_combine_dict_with_both_arguments_valid 9 0 0 100%
tsfpga/vivado/test/test_project.py test_copy_and_combine_dict_with_both_arguments_valid_and_same_key 9 0 0 100%
tsfpga/vivado/test/test_project.py vivado_project_test 5 0 0 100%
tsfpga/vivado/test/test_project.py vivado_project_test.VivadoProjectTest.__init__ 9 0 0 100%
tsfpga/vivado/test/test_project.py vivado_project_test.VivadoProjectTest.create 2 0 0 100%
tsfpga/vivado/test/test_project.py vivado_project_test.VivadoProjectTest.build 3 0 0 100%
tsfpga/vivado/test/test_project.py test_default_pre_create_hook_should_pass 5 0 0 100%
tsfpga/vivado/test/test_project.py test_project_pre_create_hook_returning_false_should_fail_and_not_call_vivado_run 4 0 0 100%
tsfpga/vivado/test/test_project.py test_project_pre_create_hook_returning_false_should_fail_and_not_call_vivado_run.CustomVivadoProject.pre_create 1 0 0 100%
tsfpga/vivado/test/test_project.py test_create_should_call_pre_create_with_correct_parameters 5 0 0 100%
tsfpga/vivado/test/test_project.py test_build_module_pre_build_hook_and_create_regs_are_called 7 0 0 100%
tsfpga/vivado/test/test_project.py test_default_pre_and_post_build_hooks_should_pass 5 0 0 100%
tsfpga/vivado/test/test_project.py test_project_pre_build_hook_returning_false_should_fail_and_not_call_vivado_run 5 0 0 100%
tsfpga/vivado/test/test_project.py test_project_pre_build_hook_returning_false_should_fail_and_not_call_vivado_run.CustomVivadoProject.pre_build 1 0 0 100%
tsfpga/vivado/test/test_project.py test_project_post_build_hook_returning_false_should_fail 5 0 0 100%
tsfpga/vivado/test/test_project.py test_project_post_build_hook_returning_false_should_fail.CustomVivadoProject.post_build 1 0 0 100%
tsfpga/vivado/test/test_project.py test_project_build_hooks_should_be_called_with_correct_parameters 7 0 0 100%
tsfpga/vivado/test/test_project.py test_module_pre_build_hook_returning_false_should_fail_and_not_call_vivado 11 0 0 100%
tsfpga/vivado/test/test_project.py test_different_generic_combinations 26 0 0 100%
tsfpga/vivado/test/test_project.py test_build_time_generics_are_copied 6 0 0 100%
tsfpga/vivado/test/test_project.py test_modules_are_deep_copied_before_pre_create_hook 7 0 0 100%
tsfpga/vivado/test/test_project.py test_modules_are_deep_copied_before_pre_create_hook.CustomVivadoProject.pre_create 2 0 0 100%
tsfpga/vivado/test/test_project.py test_modules_are_deep_copied_before_pre_build_hook 7 0 0 100%
tsfpga/vivado/test/test_project.py test_modules_are_deep_copied_before_pre_build_hook.CustomVivadoProject.pre_build 2 0 0 100%
tsfpga/vivado/test/test_project.py test_get_size_is_called_correctly 7 0 0 100%
tsfpga/vivado/test/test_project.py test_get_size_is_called_correctly._build_with_size 11 0 0 100%
tsfpga/vivado/test/test_project.py test_netlist_build_should_set_logic_level_distribution 7 0 0 100%
tsfpga/vivado/test/test_project.py test_netlist_build_should_set_logic_level_distribution._build_with_logic_level_distribution 9 0 0 100%
tsfpga/vivado/test/test_project.py (no function) 47 0 0 100%
tsfpga/vivado/test/test_simlib_commercial.py simlib_test 8 0 0 100%
tsfpga/vivado/test/test_simlib_commercial.py simlib_test.SimlibCommercialTestFixture.__init__ 4 0 0 100%
tsfpga/vivado/test/test_simlib_commercial.py simlib_test.SimlibCommercialTestFixture.get_vivado_simlib 6 0 0 100%
tsfpga/vivado/test/test_simlib_commercial.py simlib_test.SimlibCommercialTestFixture.assert_should_compile 4 0 0 100%
tsfpga/vivado/test/test_simlib_commercial.py simlib_test.SimlibCommercialTestFixture.assert_should_not_compile 4 0 0 100%
tsfpga/vivado/test/test_simlib_commercial.py test_should_not_recompile 2 0 0 100%
tsfpga/vivado/test/test_simlib_commercial.py test_new_simulator_version_should_cause_recompile 5 0 0 100%
tsfpga/vivado/test/test_simlib_commercial.py test_new_vivado_version_should_cause_recompile 5 0 0 100%
tsfpga/vivado/test/test_simlib_commercial.py test_remapping_of_vivado_simulator_names 7 0 0 100%
tsfpga/vivado/test/test_simlib_commercial.py test_remapping_of_vivado_simulator_names.check_simulator_name 3 0 0 100%
tsfpga/vivado/test/test_simlib_commercial.py (no function) 11 0 0 100%
tsfpga/vivado/test/test_simlib_ghdl.py simlib_test 6 0 0 100%
tsfpga/vivado/test/test_simlib_ghdl.py simlib_test.SimlibGhdlTestFixture.__init__ 2 0 0 100%
tsfpga/vivado/test/test_simlib_ghdl.py simlib_test.SimlibGhdlTestFixture.get_vivado_simlib 9 0 0 100%
tsfpga/vivado/test/test_simlib_ghdl.py simlib_test.SimlibGhdlTestFixture.assert_should_compile 4 0 0 100%
tsfpga/vivado/test/test_simlib_ghdl.py simlib_test.SimlibGhdlTestFixture.assert_should_not_compile 4 0 0 100%
tsfpga/vivado/test/test_simlib_ghdl.py test_should_not_recompile 2 0 0 100%
tsfpga/vivado/test/test_simlib_ghdl.py test_ghdl_version_string 6 0 0 100%
tsfpga/vivado/test/test_simlib_ghdl.py test_should_compile_file_by_file_on_windows_but_not_on_linux 9 0 0 100%
tsfpga/vivado/test/test_simlib_ghdl.py test_should_compile_file_by_file_on_windows_but_not_on_linux.run_test 4 0 0 100%
tsfpga/vivado/test/test_simlib_ghdl.py test_should_compile_file_by_file_on_windows_but_not_on_linux.get_expected_call 1 0 0 100%
tsfpga/vivado/test/test_simlib_ghdl.py (no function) 10 0 0 100%
tsfpga/vivado/test/test_tcl.py test_set_create_run_index 2 0 0 100%
tsfpga/vivado/test/test_tcl.py test_static_generics 4 0 0 100%
tsfpga/vivado/test/test_tcl.py test_build_step_hooks 5 0 0 100%
tsfpga/vivado/test/test_tcl.py test_build_step_hooks_with_same_hook_step 7 0 0 100%
tsfpga/vivado/test/test_tcl.py test_ip_cache_location 4 0 0 100%
tsfpga/vivado/test/test_tcl.py test_multiple_threads_is_capped_by_vivado_limits 7 0 0 100%
tsfpga/vivado/test/test_tcl.py test_set_build_run_index 10 0 0 100%
tsfpga/vivado/test/test_tcl.py test_runtime_generics 3 0 0 100%
tsfpga/vivado/test/test_tcl.py test_build_with_synth_only 6 0 0 100%
tsfpga/vivado/test/test_tcl.py test_build_with_from_impl 6 0 0 100%
tsfpga/vivado/test/test_tcl.py test_module_getters_are_called_with_correct_arguments 5 0 0 100%
tsfpga/vivado/test/test_tcl.py vivado_tcl_test 3 0 0 100%
tsfpga/vivado/test/test_tcl.py vivado_tcl_test.VivadoTclTest.__init__ 11 0 0 100%
tsfpga/vivado/test/test_tcl.py test_source_file_list_is_correctly_formatted 6 0 0 100%
tsfpga/vivado/test/test_tcl.py test_only_synthesis_files_added_to_create_project_tcl 3 0 0 100%
tsfpga/vivado/test/test_tcl.py test_constraints 5 0 0 100%
tsfpga/vivado/test/test_tcl.py test_ip_core_files 7 0 0 100%
tsfpga/vivado/test/test_tcl.py test_create_with_ip_cores_only 3 0 0 100%
tsfpga/vivado/test/test_tcl.py test_empty_library_not_in_create_project_tcl 2 0 0 100%
tsfpga/vivado/test/test_tcl.py test_multiple_tcl_sources 4 0 0 100%
tsfpga/vivado/test/test_tcl.py test_io_buffer_setting 5 0 0 100%
tsfpga/vivado/test/test_tcl.py test_analyze_synthesis_settings_on_and_off 6 0 0 100%
tsfpga/vivado/test/test_tcl.py test_impl_explore 7 0 0 100%
tsfpga/vivado/test/test_tcl.py (no function) 35 0 0 100%
Total   4477 839 0 81%

No items found using the specified filter.